Part Number Hot Search : 
17G03 3V10X LM127 BC200 FP6160B AD5694 LTC3455 HZD2C2NC
Product Description
Full Text Search
 

To Download ATF15XXDK3-SAA44 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  atf15xx-dk3 development kit ............... ................ ................. ................ ................. ............. user guide
atf15xx-dk3 development kit user guide i 3605b?pld?05/06 table of contents section 1 introduction ................ ................ ................. ................ ................. ......... 1-1 1.1 cpld development/ programmer kit .......................................................1-1 1.2 kit contents ..............................................................................................1-1 1.3 kit features...............................................................................................1-1 1.3.1 cpld development/programmer board ............................................1-1 1.3.2 logic doubling cplds .......................................................................1-2 1.3.3 cpld isp download cable................................................................1-2 1.3.4 pld software cd-rom......................................................................1-2 1.4 device support .........................................................................................1-2 1.5 system requirements...............................................................................1-3 1.6 ordering information .................................................................................1-3 1.7 references................................................................................................1-4 1.7.1 prochip designer ...............................................................................1-4 1.7.2 atmel-wincupl .................................................................................1-4 1.7.3 atmisp ..............................................................................................1-4 1.7.4 pof2jed ...........................................................................................1-4 1.8 technical support .....................................................................................1-4 section 2 hardware description ............ .............. .............. .............. .............. ....... 2-1 2.1 atmel cpld development/ programmer board........................................2-1 2.1.1 7-segment displays with selectable jumpers ....................................2-2 2.1.2 leds with selectable jumpers...........................................................2-5 2.1.3 push-button switches with selectable jumpers for i/o pins..............2-6 2.1.4 push-button switches with selectable jumpers for gclr and oe1 pins .....................................................................................2-8 2.1.5 2 mhz oscillator and clock selection jumper ...................................2-9 2.1.6 vccio and vccint voltage selection jumpers and leds ............2-10 2.1.7 iccio and iccint jumpers.............................................................2-10 2.1.8 voltage regulators ...........................................................................2-10 2.1.9 power supply switch and power led..............................................2-10 2.1.10 power supply jack and power supply header ................................2-10 2.1.11 jtag isp connector and tdo selection jumper............................2-11 2.2 socket adapter board .............................................................................2-12 2.3 atmel cpld isp download cable ..........................................................2-13
table of contents ii atf15xx-dk3 development kit user guide 3605b?pld?05/06 section 3 cpld design flow tutorial ... .............. .............. .............. .............. ....... 3-1 3.1 create a project using the ?new project wizard? .....................................3-1 3.2 add a design file......................................................................................3-7 3.3 synthesize the vhdl design....................................................................3-7 3.4 fit the synthesized design file ................................................................3-8 3.5 program and verify design .....................................................................3-10 section 4 schematic diagrams and vhdl file ................. .............. .............. ....... 4-1
atf15xx-dk3 development kit user guide 1-1 3605b?pld?05/06 section 1 introduction 1.1 cpld development/ programmer kit the atmel cpld development/programmer kit (p/n: atf15xx-dk3) is a complete development system and an in-system programming (isp) programmer for the atf15xx family of industry standard pin compatible complex programmable logic devices (cplds) with logic doubling ? features. this kit provides designers a very quick and easy way to develop prototypes and evaluate new designs with an atf15xx isp cpld. the atf15xx family of isp cpld s includes the atf15xxas, atf15xxasl, atf15xxasv, atf15xxasvl, and atf15xxbe cplds. with th e availability of the dif- ferent socket adapter boards to support all the package types (1) offered in the atf15xx family of isp cplds, this cpld development/programmer board can be used as an isp programmer to program the atf15xx isp cplds in all the available package types (1) through the industry standard jtag interfac e (ieee 1149.1). 1.2 kit contents ? cpld development/programmer board ? 44-pin tqfp socket adapter board (p/n: atf15xx-dk3-saa44) (2) ? atmel cpld isp multi-volt (mv) download cable ? atmel pld software cds (i ncludes prochip designer ? , precision rtl synthesis, modelsim, latest prochip patch, atmel-wincupl?, and other epld software) ? two 44-pin tqfp sample devices (one atf1502be and one atf1504asv) notes: 1. socket adapter board for 100-pin pqfp is not offered. 2. only the 44-pin tqfp socket adapter boar d is included in this kit. other socket adapter boards are sold separately. please refer to section 1.6 for ordering informa- tion of the socket adapter boards. 1.3 kit features 1.3.1 cpld development/ programmer board ? 10-pin jtag-isp port ? regulated power supply circuits for 9v dc power source ? selectable 5v, 3.3v, 2.5v, or 1.8v i/o voltage supply ? selectable 1.8v, 3.3v, or 5.0v core voltage supply
introduction 1-2 atf15xx-dk3 development kit user guide 3605b?pld?05/06 ? 44-pin tqfp socket adapter board ? headers for i/o pins of the atf15xx device ? 2 mhz crystal oscillator ? four 7-segment led displays ? eight individual leds ? eight push-button switches ? global clear and output enable push-button switches ? current measurement jumpers 1.3.2 logic doubling cplds ? atf1502be 1.8v low-power 32-macrocell isp cpld with logic doubling architecture ? atf1504asv 3.3v 64-macrocell isp cp ld with logic doubling architecture 1.3.3 cpld isp download cable ? 5v/3.3v/2.5v/1.8v isp download cabl e for pc parallel printer (lpt) port 1.3.4 pld software cd- rom ? free atmel-wincupl design software ? prochip designer v4.0 ? prochip designer v4.0 patch ? precision rtl synthesis ? modelsim ? atmel cpld isp software (atmisp) ? pof2jed conversion utility ? user guides and tutorials 1.4 device support the atmel cpld development/programmer boar d supports the following devices in all speed grades and packages (except 100-pqfp): atf1502be atf1508asv/asvl atf1502as/asl atf1502asv atf1504be atf1504asv/asvl atf1504as/asl atf1508as/asl
introduction atf15xx-dk3 development kit user guide 1-3 3605b?pld?05/06 1.5 system requirements the minimum hardware and software requirements to program an atf15xx isp cpld designed using the prochip designer software on the cpld development/programmer board through the atmel cp ld isp software (atmi sp) v6.0 or later are: ? pentium ? or pentium-compatible microprocessor-based computer ? windows xp ? , windows ? 98, windows nt ? 4.0, or windows 2000 ? 64-mbyte ram ? 200-mbyte free hard disk space ? windows-supported mouse ? available parallel printer (lpt) port ? 9v dc power supply with 500 ma of supply current ? svga monitor (800 x 600 resolution) 1.6 ordering information other socket adap ters to support other packages will be available in the near future. part number description atf15xx-dk3 atmel cpld development/programmer kit (includes ATF15XXDK3-SAA44) atf15xxdk3-saa100 100-pin tqfp so cket adapter board for dk3 board atf15xxdk3-saj44 44-pin plcc so cket adapter board for dk3 board atf15xxdk3-saj84 84-pin plcc so cket adapter board for dk3 board ATF15XXDK3-SAA44 44-pin tqfp socke t adapter board for dk3 board
introduction 1-4 atf15xx-dk3 development kit user guide 3605b?pld?05/06 1.7 references to help pld designers use the different atmel pld software, documentation such as help files, tutorials, application notes/briefs, and user guides are available. 1.7.1 prochip designer 1.7.2 atmel-wincupl 1.7.3 atmisp 1.7.4 pof2jed 1.8 technical support for technical support on any atmel pld rela ted issues, please contact atmel pld appli- cations group at: url: www.atmel.com/dyn/products/support.asp faq: www.atmel.com/dyn/products/tech_support.asp?faq=y hotline: 1-408-436-4333 email : pld@atmel.com prochip desinger help files from the prochip designer main window, click on help and then select prochip designer help. tutorials from the prochip designer main window, click on help and then select tutorials. known problems & solutions from the prochip designer main window, click on help and then select review kps. help files from the atmel-wincupl main window, click on help and then select contents. cupl programmers reference guide from the atmel-wincupl main window, click on help and then select cupl programmers reference. tutorials from the atmel-wincupl main window, click on help, select atmel info and then select tutorial1.pdf. known problems & solutions from the atmel-wincupl main window, click on help, select atmel info and then select cupl_bug.pdf. help files from the atmisp main window, click on help and then select isp help. tutorials from the atmisp main window, click on help, and then select atmisp tutorial. known problems & solutions using windows explorer, go to the directory where atmisp is installed and open the readme.txt file through any ascii text editor. atf15xx conversion application brief from the pof2jed main window, click on help and then select conversion options.
atf15xx-dk3 development kit user guide 2-1 3605b?pld?05/06 section 2 hardware description 2.1 atmel cpld development/ programmer board atmel cpld development/programmer board along with the socket adapter board as shown in figure 2-1 contains many features that designers will find very useful when developing, prototyping, or evaluating their atf15xx cpld design. features such as push-button switches, leds, 7-segment displays, 2-mhz crystal oscillator, 5v/3.3v/2.5v/1.8v vcci/o selector, 1.8v/3.3v/5.0v vccint selector, jtag-isp port, and socket adapters make this a very versatile starter/development kit and an isp pro- grammer for the atf15xx family of jtag-isp cplds. figure 2-1. cpld development/programmer board with 44-pin tqfp socket adapter board v oltage reg u lators gclr s w itch goe s w itch v ccio selector v cci n t selector v ccio led v cci n t led po w er led clock selector po w er s w itch oscillator po w er s u pply jack po w er s u pply header jtag cascade j u mper jtag isp header 7-segment displays ATF15XXDK3-SAA44 socket adapter board user i/o pin headers leds de v ice socket p u sh-b u tton s w itches icci n t j u mper iccio j u mper
hardware description 2-2 atf15xx-dk3 development kit user guide 3605b?pld?05/06 2.1.1 7-segment displays with selectable jumpers atmel cpld development/programmer board contains four seven-segment displays to allow the designers to observe the outputs of the atf15xx cpld. these four displays are labeled dsp1, dsp2, dsp3, and dsp4, and have common anode leds with the common anode lines connected to vccio (i /o supply voltage for the cpld) through series resistors with selectable jum pers labeled jpdsp1, jpdsp2, jpdsp3, or jpdsp4. these jumpers can be removed to disable the displays by unconnecting the vccio to the displays. individual cathode li nes are connected to the i/o pins of the atf15xx cpld on the cpld development/programmer board. to turn on a particular segment including the dot of a display, the corresponding atf15xx i/o pin connected to this led segment must be in a logic low state with the corresponding selectable jumper set. hence, the outputs of the atf15xx need to be configured as active-low out- puts in the design file. these displays work best at 2.5v vccio or higher. each segment of each display is hard-wired to one specific i/o pin of the atf15xx. for the higher pin count devices (100-pin and larger), all seven segments and the dot seg- ments of the four displays are connected to the i/o pins of the atf15xx. however, for the lower pin count devices, only a subset of the displays (1st and 4th displays) are con- nected to the atf15xx?s i/o pins. tables 2-1, 2-2, 2-3, and 2-4 show the connections for 7-segment displays to the atf15xx in different package types. the circuit schematic of the displays and jumpers is shown in figure 2-2. figure 2-2. circuit diagram of 7-segment display and jumpers
hardware description atf15xx-dk3 development kit user guide 2-3 3605b?pld?05/06 table 2-1. connections of atf15xx 44-pin tqfp to 7-segment displays dsp/segment pld pin # d sp/segment pld pin # 1/a 27 3/a nc 1/b 33 3/b nc 1/c 30 3/c nc 1/d 21 3/d nc 1/e 18 3/e nc 1/f 23 3/f nc 1/g 20 3/g nc 1/dot 31 3/dot nc 2/a nc 4/a 3 2/b nc 4/b 10 2/c nc 4/c 6 2/d nc 4/d 43 2/e nc 4/e 35 2/f nc 4/f 42 2/g nc 4/g 34 2/dot nc 4/dot 11 table 2-2. connections of atf15xx 44-pin plcc to 7-segment displays dsp/segment pld pin # d sp/segment pld pin # 1/a 33 3/a nc 1/b 39 3/b nc 1/c 36 3/c nc 1/d 27 3/d nc 1/e 24 3/e nc 1/f 29 3/f nc 1/g 26 3/g nc 1/dot 37 3/dot nc 2/a nc 4/a 9 2/b nc 4/b 16 2/c nc 4/c 12 2/d nc 4/d 5 2/e nc 4/e 41 2/f nc 4/f 4 2/g nc 4/g 40 2/dot nc 4/dot 17
hardware description 2-4 atf15xx-dk3 development kit user guide 3605b?pld?05/06 table 2-3. connections of atf15xx 84-pin plcc to 7-segment displays dsp/segment pld pin # d sp/segment pld pin # 1/a 68 3/a 22 1/b 74 3/b 28 1/c 70 3/c 25 1/d 63 3/d 21 1/e 58 3/e 16 1/f 65 3/f 17 1/g 61 3/g 12 1/dot 73 3/dot 29 2/a 52 4/a 5 2/b 57 4/b 10 2/c 55 4/c 8 2/d 48 4/d 79 2/e 41 4/e 76 2/f 50 4/f 77 2/g 45 4/g 75 2/dot 50 4/dot 11 table 2-4. connections of atf15xx 100-pin tqfp to 7-segment displays dsp/segment pld pin # d sp/segment pld pin # 1/a 67 3/a 13 1/b 71 3/b 19 1/c 69 3/c 16 1/d 61 3/d 8 1/e 57 3/e 83 1/f 64 3/f 6 1/g 60 3/g 92 1/dot 75 3/dot 20 2/a 52 4/a 100 2/b 54 4/b 94 2/c 47 4/c 97 2/d 41 4/d 81 2/e 46 4/e 76 2/f 40 4/f 80 2/g 45 4/g 79 2/dot 56 4/dot 93
hardware description atf15xx-dk3 development kit user guide 2-5 3605b?pld?05/06 2.1.2 leds with selectable jumpers atmel cpld development/programmer board has eight individual leds, which allow designers to display the output signals from the user i/os of the atf15xx cpld. these eight leds are labeled led1 to led8 on the atmel cpld development/programmer board. the cathode of each led is connected to ground through a series resistor while the anode of each led is connected to a user i/o pin of the cpld through the jpl1/jpl2/pl3/jpl4/jpl5/jpl6/jpl7/jpl8 se lectable jumper. these jumpers can be removed to disable the leds by unconnecting the anodes of the leds to the i/o pins of the cpld. figure 2-3 shows the circuit diagram of the leds with the selection jumpers. to turn on a particular led, the corresponding atf15xx i/o pin connected to the led must be in a logic high state with the corresponding jumper set. hence, the outputs of the atf15xx need to be configured as active-high outputs in the design files. these leds work best at 2.5v vccio or higher. the lower pin-count devices (44-pin) only have four i/os connected to led1, led2, led3, and led4. for the higher pin-count dev ices (100-pin and larger), all eight leds are connected to the i/os of the device. tabl es 2-5, 2-6, 2-7, and 2-8 show the connec- tions of the cpld i/os to the leds in the different package types. figure 2-3. circuit diagram of the leds and jumpers table 2-5. connections of atf15xx 44-pin tqfp to leds led # pld pin # led1 28 led2 25 led3 22 led4 19 table 2-6. connections of atf15xx 44-pin plcc to leds led # pld pin # led1 34 led2 31 led3 28 led4 25
hardware description 2-6 atf15xx-dk3 development kit user guide 3605b?pld?05/06 2.1.3 push-button switches with selectable jumpers for i/o pins atmel cpld development/programmer board contains eight push-button switches, which are connected to the i/o pins of the cpld. they allow designers to send input logic signals to the user i/o pins of th e atf15xx cpld. these eight switches are labeled sw1 to sw8 on the atmel cpld development/programmer board. one end of each input push-button switch is connected to vccio while the other end of each push- button switch is connected to a pull-down resistor and then connected to the specific i/o pin of the cpld through the jps1/jps2 /jps3/jps4/jps5/jps6/j ps7/jps8 selectable jumper. if any one of these switches is pressed and the corresponding jumper is set, the specific i/o pin of the device will be driven to a logi c high state by the output of switch circuit. since each push-button switch is also connec ted to a pull-down resistor, the input will have a logic low state if the switch is not pressed with the corresponding jumper set. if the push-button jumper is not set, the corresponding pin will be treated as an uncon- nected pin. figure 2-4 on page 2-7 is a circuit diagram of the push-button switch and selectable jumper. tables 2-9, 2-10, 2-11, and 2-12 show the connections of these eight push-button switches to the cpld i/o pins in the different package types. table 2-7. connections of atf15xx 84-pin plcc to leds led # pld pin # led1 69 led2 67 led3 64 led4 60 led5 27 led6 24 led7 18 led8 15 table 2-8. connections of atf15xx 100-pin tqfp to leds led # pld pin # led1 68 led2 65 led3 63 led4 58 led5 17 led6 14 led7 10 led8 9
hardware description atf15xx-dk3 development kit user guide 2-7 3605b?pld?05/06 figure 2-4. circuit diagram of the push-button switches and jumpers for the i/o pins table 2-9. connections of atf15xx 44-pin tqfp to the switches for i/o pins push button # pld pin # sw1 15 sw2 14 sw3 13 sw4 12 sw5 8 sw6 5 sw7 2 sw8 44 table 2-10. connections of atf15xx 44-pin pl cc to the switches for i/o pins push button # pld pin # sw1 21 sw2 20 sw3 19 sw4 18 sw5 14 sw6 11 sw7 8 sw8 6
hardware description 2-8 atf15xx-dk3 development kit user guide 3605b?pld?05/06 2.1.4 push-button switches with selectable jumpers for gclr and oe1 pins atmel cpld development/programmer board also contains two push-button switches for the global clear (gclr) and output enable (oe1) pins of the cpld. they allow the designers to control the logic states of the oe1 and gclr inputs of the atf15xx cpld. these two switches are labeled sw-gclr and sw-goe1 on the atmel cpld develop- ment/programmer board. one end of the sw-gclr input push-button switch is connected to ground (gnd). the other end of the push-button switch is connected to a pull-up resistor to vccio, and then connected to the gclr dedicated input pin of the atf15xx. it is intended to be used as an active-low reset signal to reset the registers in the atf15xx with the jpgclr selectable ju mper set. similarly, one end of the sw- goe1 input push-button switch is connected to ground (gnd). the other end of the push-button switch is connected to a pull-up resistor to vccio, and then connected to the oe1 dedicated input pin of the atf15xx. it is intended to be used as an active-low output enable signal to control the enabling/disabling of the tri-state output buffers in the atf15xx with the jpgoe selectable jumper set. figure 2-5 on page 2-9 is the circuit diagram of these two push-button switches and the jumpers for the gclr and oe1 pins. if any of these push-button switches is pressed and the corresponding jumper is set, then the specific i/o of the cpld will be dr iven to a logic low st ate. since each push- button is also connected to a pull-up resist or, the corresponding cp ld input will have a logic high state if the push-button switch is not pressed with the corresponding select- able jumper set. if the selectable jumper is not set, the corresponding dedicated input pin of the cpld can be considered a ?no connect? (nc) pin. table 2-13 on page 2-9 table 2-11. connections of atf15xx 84-pin pl cc to the switches for i/o pins push button # pld pin # sw1 54 sw2 51 sw3 49 sw4 44 sw5 9 sw6 6 sw7 4 sw8 80 table 2-12. connections of atf15xx 100-pin tqfp to the switches for i/o pins push button # pld pin # sw1 48 sw2 36 sw3 44 sw4 37 sw5 96 sw6 98 sw7 84 sw8 99
hardware description atf15xx-dk3 development kit user guide 2-9 3605b?pld?05/06 shows the pin numbers of the gclr and oe1 dedicated input pins of the atf15xx in all the different available package types. figure 2-5. circuit diagram of push-button switches and selectable jumpers for gclr and oe1 2.1.5 2 mhz oscillator and clock selection jumper the clock selection jumper, labeled jp-gclk, on the cpld development/program- mer board is a two-position jumper that allows the users to select which gclk dedicated input pin (either gclk1 or gc lk2) of the atf15xx should be connected to the output of the 2 mhz oscillator. in additi on, the jumper can be removed to allow an external clock source to be connected to gclk1 and/or gclk2 of the atf15xx. figure 2-6 is the circuit diagram of the oscillator and selection jumper. table 2-14 on page 2-10 shows the pin numbers for the gclk1 and gclk2 dedicated input pins of the atf15xx in all the different available package types. note: if gclk1 jumper is set, th e jumper will be located toward the side of the board. on the other hand, if gclk2 jumper is set, th e jumper will be lo cated toward the middle of the board. figure 2-6. circuit diagram of oscillato r and clock selection jumper table 2-13. pin numbers of gclr and oe1 44-pin tqfp 44-pin plcc 84-pin plcc 100-pin tqfp gclr 39 1 1 89 oe1 38 44 84 88
hardware description 2-10 atf15xx-dk3 development kit user guide 3605b?pld?05/06 2.1.6 vccio and vccint voltage selection jumpers and leds the vccio and vccint voltage selection jumpers, labeled vccio selector and vccint selector respectively on atf15xx-dk3 development/programming board, allow the designers to select i/o supply voltage level (vccio) and core supply voltage level (vccint) that are used for the target cpld on the board. once these jumpers are set correctly, the leds (labeled vccint led and vccio led) will be turn on as expected. however, at lower supply voltage levels (i.e. 2.5v or lower), the leds might be very dim. for atf15xxas/asl (5.0v) cplds, both the vccio selector and vccint selector jumpers must be set to 5.0v. for atf15xx asv/asvl (3.3v) cplds, both the vccio selector and vccint selector jumpers must be set to 3.3v only. for the atf15xxbe (1.8v) cplds, designers must set vccint sele ctor jumper to 1.8v for its core volt- age supply. however, designers can set the vccio selector jumper to 3.3v, 2.5v, or 1.8v (but not 5.0v) in order for the i/os of the atf15xxbe cpld to interface with differ- ent voltage levels devices. note: the power of the cpld development/programmer board must be turned off when changing the position of the vccio or vccint voltage selection jumper (vccio selector or vccint selector). 2.1.7 iccio and iccint jumpers the iccio and iccint jumpers can be removed and used as icc measurement points. when the jumpers are removed, current meters can be connected to the posts to mea- sure the current consumption of the target cpld. when users are not using these jumpers to measure the current, these jumpers must be set in order for the board and cpld to operate. 2.1.8 voltage regulators two voltage regulators, labeled vr1 and vr2, are used to independently generate and regulate the vccint and vccio voltages from the 9v dc power supply. for details, please review the schematic of the atf15xx-dk3 board. 2.1.9 power supply switch and power led the power supply switch, labeled power switch, can be switched to the on or off position, which is used to turn on or off the power of the atf15xx-dk3 board respectively. it allows the 9v dc voltage at the power supply jack to pass to the volt- age regulators when it is in the on position. when the power supply switch is turned on, the power led (labeled power led) will light up to indicate that the atf15xx- dk3 board is supplied with power. 2.1.10 power supply jack and power supply header the atmel atf15xx-dk3 development/programmer board contains two different types of power supply connectors labeled jpower and jp power. either one of these power supply connectors can be used to connect a 9v dc power source to the board. the first power connector, labeled jpower, is a barr el power jack with a 2.1mm diameter post and it mates to a 2.1mm (inner diameter) x 5.5mm (outer diameter) female plug. the second is the power supply header, labeled jp power, is a 4-pin male 0.1" header with 0.025" square posts. the ava ilability of these two types of power connectors allows the users to choose the type of power supply equipment to use for atf15xx-dk3 develop- ment/programmer board. however, please note that only one of these two power supply connectors should be po wered with a 9v dc source but not both at the same time. table 2-14. pin numbers of gclk1 and gclk2 44-pin tqfp 44-pin plcc 84-pin plcc 100-pin tqfp gclk1374383 87 gclk2 40 2 2 90
hardware description atf15xx-dk3 development kit user guide 2-11 3605b?pld?05/06 2.1.11 jtag isp connector and tdo selection jumper the jtag isp connector, labeled jtag-in, is used to connect the atf15xx?s jtag port pins (tck, tdi, tms and tdo) through the isp download cable to the parallel printer (lpt) port of a pc for jtag isp programming of the atf15xx. polarized con- nectors are used on the atf15xx-dk3 and isp download cable (atdh1150vpc) rev 6.0 or later to minimize connection problems. the pin1 label at the bottom of the jtag isp connector indicates the pin 1 position of the 10-pin header and further reduces the chance of connecting the isp download cable incorrectly. to the left of the jtag-in connector, there are two columns of vias and they are labeled jtag-out. they are intended to allow the users to create a jtag daisy chain to per- form jtag operations to multiple devices. users will need to solder the same type of connector as the one used for jtag-in into the jtag-out position in order to utilize this available feature. to create a jtag daisy chain using multiple atf15xx-dk3 boards, the tdo selection jumper, labeled jp-tdo, must be set to the appropriate position. for all the devices in the daisy chain except the last device, this jumper must be set to the ?to next device? position. for the last device in the chain, this jumper must be set to the ?to isp cable? position. when th is jumper is in the ?to n ext device? position, the tdo of that particular jtag devi ce will be connected to the tdi of the next jtag device in the chain. when this jumper is in the ?to isp cable? position, the tdo of that device will be connected to the tdo of the jtag 10-pin connector, which will allow the tdo signal of the that device in the chain to be transmitted back to the host pc with the isp software. figure 2-7 below is a circuit diag ram of the jtag connectors and the jp-tdo jumper. table 2-15 on page 2-12 lists the pin numbers of the four jtag pins for the atf15xx in all the available packages. for a single device setup, the position of the jp-tdo jumper must be set to ?to isp cable?. figure 2-7. circuit diagram of the jtag isp connectors and tdo jumper
hardware description 2-12 atf15xx-dk3 development kit user guide 3605b?pld?05/06 the isp algorithm is controlled by the atmi sp software, which is running on the pc. the four jtag signals are generated by the lpt port and they are buffered by the isp download cable before going into the atf15xx on the cpld development/programmer board. the pinout for the 10-pin jtag port header on the cpld development/pro- grammer board is shown in figure 2-8 and the dimensions of this 10-pin male jtag header are shown in figure 2-9. figure 2-8. pinout diagram of 10-pin jtag port header (top-view) figure 2-9. 10-pin male header dimensions the pinout of this 10-pin jtag port header is compatible with the altera ? byteblaster, byteblastermv, and byteblaste r ii cables. in addition, the atmisp software allows users to choose either the atmel cpld isp cable or the byteblaster/byteblast- ermv/byteblaster ii cable to implement isp. 2.2 socket adapter board atmel atf15xx-dk3 cpld development/programmer socket adapter boards (atf15xx-dk3-xxxxx) are circuit boards that interface with the atmel atf15xx-dk3 cpld development/programmer board. they are used in conjunction with the atf15xx-dk3 cpld development/programmer board to evaluate/program atmel atf15xx isp cplds with different package ty pes. at press time, there are four socket adapter boards available for the atf15xx-dk3 covering the 44-tqfp, 44-plcc, 84- table 2-15. pin numbers of jtag port signals 44-pin tqfp 44-pin plcc 84-pin plcc 100-pin tqfp tdi 1 7 14 4 tdo323871 73 tms 7 13 23 15 tck263262 62 10 8 7 6 3 2 1 5 gnd tdi nc nc nc tdo vcc tms gnd tck 9 4 0.100 0.025 s q . 0.235 top v ie w side v ie w 0.100 all dimensions are in inches
hardware description atf15xx-dk3 development kit user guide 2-13 3605b?pld?05/06 plcc, and 100-tqfp package types in the atf15xx family of cplds. socket adapter boards for other packages will become availa ble in the near future. each socket adapter board contains a socket for the atmel atf15xx device and with male headers on the bottom side, labeled jp1 and jp2. the headers on the bottom side mate with the female headers on the atf15xx-dk3 board, labeled jp4 and jp3. the four 7-segment displays, push-button switches, jtag port signals, oscillator, vccint, vccio, and gnd on the cpld development/programmer board are connected to the atf15xx device on the socket adapter board through these two sets of connectors. on the top of the 44-tqfp socket adapter, there are four 10-pin connectors with the same dimensions as the jtag isp connector. the pins of these four connectors are connected to the input and i/o pins (except the four jtag pins) of the target cpld device. they can be used to co nnect to an oscilloscope or l ogic analyzer to capture the activities of the input and i/o pins of the cpld. they also can be used to connect the input and i/o pins of the cpld to other exte rnal boards or devices for system level eval- uation or testing. 2.3 atmel cpld isp download cable the atmel cpld isp download cable (p /n: atdh1150vpc) connects the parallel printer (lpt) port of your pc to the 10-pin jtag header on the atmel cpld develop- ment/programmer board or a custom circuit board. this is shown in figure 2-10 on page 2-14. this isp cable acts as a buffer to buffer the jtag signals between the pc?s lpt port and the atf15xx on the circuit board. the power-on led on the back of the 25-pin male connector housing indicates that the cable is connected properly. make sure this led is turned on before using the atmel cpld isp software (atmisp). this isp cable consists of a 25-pin (db25) male connector, which is connected to the lpt port of a pc. the 10-pin female plug connects to the 10-pin male jtag header on the isp circuit board. the red color stripe on the ribbon cable indicates the orientation of pin 1 of the female plug. the 10-pin male jtag header on the cpld development/pro- grammer board is polarized to prevent users from inserting the female plug in the wrong orientation. the atmel cpld development/programmer kits includes an atmel isp cable; however, other supported isp cables can also be used. the use of the isp cable on atmel devel- opment kit is depending on the device that is selected. the following shows the appropriate isp cable that can be used for the different voltage families of atmel cplds. 1. atmel-isp cable (rev 4.0 or earlier) can be used for atf15xxas/asl (5.0v) device only. 2. atmel-isp cable (rev 5.0) can be used for atf15xxas/asl (5.0v) or atf15xxasv/asvl (3.3v) device only. 3. atmel-isp cable (rev 6.0), also known as the ?atmel cpld-isp mv cable?, can be used for atf15xxas/asl (5.0v) or atf15xxasv/asvl (3.3v) or atf15xxbe (1.8v core) device. 4. byteblaster isp cable can be used for atf15xxas/asl (5.0v) device only. 5. byteblastermv isp cable can be us ed for atf15xxas/asl (5.0v) or atf15xxasv/asvl (3.3v) device only. 6. byteblaster ii isp cable can be us ed for atf15xxas/asl (5.0v) or atf15xxasv/asvl (3.3v) or a tf15xxbe (1.8v core) device.
hardware description 2-14 atf15xx-dk3 development kit user guide 3605b?pld?05/06 figure 2-10. atmel isp cable connection to is p hardware boar d/circuit board figure 2-11 shows the pinout for the 10-pin female header on the atmel isp cable. the pinout on the 10-pin male header on the pc board (if used for isp) must match this pinout. figure 2-11. atmel isp download cable 10 -pin female header pinout note: your circuit board must supply vcc and gnd to the atmel cpld isp cable through the 10-pin male header. when programming atf15xxbe device, vccio must be used for the isp cable. i s p download cable pin 1 led color s tripe 1 3 579 24 6 8 10 1 3 579 24 6 8 10 color s tripe
atf15xx-dk3 development kit user guide 3-1 3605b?pld?05/06 section 3 cpld design flow tutorial this tutorial will guide you through a co mplete vhdl design cycle for the atmel atf15xx cpld. it provides step-by-step procedure to go through each phase of the design cycle from design entry, logic syn thesis, device fitting, in-system programming, and finally verifying the design on the atmel atf15xx-dk3 cpld development/pro- gramming board. note: to complete this tutorial, prochip designer v4.0 with level 2 update and atmel-isp software (atmisp) v6.1 are required. 3.1 create a project using the ?new project wizard? before starting the design process, a project file must be created within prochip designer. prochip designer?s new project wiza rd provides a very easy way to create a new project file.
cpld design flow tutorial 3-2 atf15xx-dk3 development kit user guide 3605b?pld?05/06 1. click on the start > programs > prochip icon to launch prochip designer. or double-click on the prochip icon on the desktop. 2. click on project > new or double-click on the new project shortcut button to launch the new project wizard. 3. click on the next button to start the project file creation process. 4. click on the browse button to open the browser window. (1) click to la u nch prochip designer (2) click to create ne w project (3) click next to start
cpld design flow tutorial atf15xx-dk3 development kit user guide 3-3 3605b?pld?05/06 5. use c:\prochip\designs\vhdl as the directory of the project. 6. enter dev_kit.apj as the project filename. the extension of a project file must be .apj . note: the name and directory of the design proj ect is specified in this window. all design, simulation, and other project files must be placed in this project direc- tory. 7. choose atf1502be-7au44 as the target device type for the project. also review the filters that allow for selection of a specific speed grade or package type. (4) click to brow s e (5) select the project directory (6) enter the project filename (7) select the de v ice type
cpld design flow tutorial 3-4 atf15xx-dk3 development kit user guide 3605b?pld?05/06 8. select vhdl - mentor graphics as the software tool for this design flow. prochip designer v4.0 with software patch level 1 and later version supports the follow- ing design flows: 9. select done with parts so that there will be only one device in this project. design flow design flow type cupl ? altium cupl design compiled through altium protel 99se verilog ? mentor graphics verilog design synt hesized through mentor graphics precision vhdl ? altium vhdl design synthesized through the altium peakfpga vhdl ? mentor graphics vhdl design synthes ized through mentor graphics precision schematic ? altium schematic design co mpiled through altium protel 99se ( 8 ) select the design flo w
cpld design flow tutorial atf15xx-dk3 development kit user guide 3-5 3605b?pld?05/06 on the other hand, users can select add more parts to include more parts to the cur- rent project directory. 10. click the finish button to finish the new project wizard and the project creation process. this closes the new project wizard and opens the prochip designer window. the sources in the project are shown in the left window. (9) select done with part s (10) select fini s h to end the n e w project w izard
cpld design flow tutorial 3-6 atf15xx-dk3 development kit user guide 3605b?pld?05/06 11. click on the atf1502be-7au44 device icon to view the design flow window. (11) click on the de v ice icon message w indo w project so u rces w indo w information dialog b ox project file w indo w design flo w w indo w
cpld design flow tutorial atf15xx-dk3 development kit user guide 3-7 3605b?pld?05/06 3.2 add a design file once the project file is created, the next step is to add the design source file(s) into your project. for this tutorial, a single vhdl design file will be added into the project. 1. click on the add/edit button from source manager to open the source manager window. you can view the source manager help file by clicking on the help but- ton within the source manager window to view the description for the different processes. 2. in the source manager window, click on the add button to add a vhdl design file to the project. 3. in the file manager window, select .vhd from the c:\pro- chip\designs\vhdl directory as the source design file for this project. this vhdl design is available at the end of this document. the f02_44tqfp.vhd file is a vhdl design that uses two 7-segment displays and the built-in oscillator on the at mel atf15xx-dk3 cpld develo pment/programmer board to generate two scrolling ?0? characters. this de sign will also pass the states of the i/o push-button switches (sw1-sw4) to the leds at led1-led4 on the atf15xx-dk3 cpld development/programmer board. for details, please review the vhdl code. 3.3 synthesize the vhdl design in this part of the tutorial , the vhdl design code will be synthesized through the mentor graphics precision synthesis process into an edif netlist (*.edf), which contains a set of optimized/minimized logic eq uations for the specified cpld. (1) click add/edit to open so u rce manager w indo w (2) select vhdl so u rce file (3) click add to add design file
cpld design flow tutorial 3-8 atf15xx-dk3 development kit user guide 3605b?pld?05/06 1. click on the vhdl - precision button in the design flow window to open the logic synthesis window. 2. in the logic synthesis window, check both options to update pin assignments after each compilation and also run precision in shell mode : 3. click on the compile button to start the compile process. close the log file when the synthesis is done successfully. note: if you have encountered any syntax erro r during synthesis, the report file will pop up to indicate which line of the code contains problem. in such case, you must correct the syntax problem and save the file before synthesize the code again before proceeding to the next step. 3.4 fit the synthesized design file in section 3.3, the logic synthesis portion of the cpld design flow was completed. on successful compilation, the precision tool will produce an edif output file (with .edf extension). an edif file contains the net list of the optimized and minimized logic equa- tions. we now need to map this netlist into a specific atmel cpld architecture using the atmel fitter. (1) open the logic synthesis w indo w (2) check b oth options here
cpld design flow tutorial atf15xx-dk3 development kit user guide 3-9 3605b?pld?05/06 1. you can now proceed to the device fitter portion of the design flow by clicking on the atmel fitter button. you can either use the default options or specify fitter pr operties. prochip designer will automatically select the edif file (*.edf) associated to the current design project and the tool type. in this exampl e, since our target device is an atf1502be, we will select the fit1502.exe device fitter. the fitter creates the important jedec and fit report output files. they contain the data for programming the device (using in-system programming or on a third-party device programmer) and the pin assignments required for board layout respectively. please review the global device paramete rs and pin/node options as well. the help files also show the device pin_node lists for each of the atmel cplds. 2. make sure the jtag box is checked. this enables the jtag port for isp programming. 3. make sure the pin fit control setting is set to keep . this will ensure that the pin assignments in the pld file will be kept during the place-and-route process. 4. make sure the logic double setting is set to if necessary . 5. when all the fitter options are set, click on the run fitter button to fit the design. (1) open the atmel fitter w indo w (2) check the jtag b ox (3) set the pin fit control setting to keep (4) set logic do ub le to if nece ss ary (5) start the fitting process
cpld design flow tutorial 3-10 atf15xx-dk3 development kit user guide 3605b?pld?05/06 the above message will be displayed after the design is successfully fit the selected device. if there are any error messages, you can review the exported *.fit file or you can copy your *.edf file to the c:\prochip\pldfit\ directory, open the dos command prompt, and then type the fit command that is starting from the second line of the *.fit file to see more details about the fitter errors. parts of the fitter report (.fit) file generated for this design is shown below. total dedicated input used: 3/4 (75%) total i/o pins used 24/32 (75%) total macro cells used 35/32 (109%) total flip-flop used 28/32 (87%) total foldback logic used 15/32 (46%) total nodes+fb/mcells 50/32 (156%) total cascade used 0 total input pins 10 total output pins 17 total pts 93 creating pla file c:\prochip\designs\vhdl\f02_44tqfp.tt3 with 0 inputs 0 outputs, 0 pins 0 nodes and 0 pterms... ---------------- end fitter, design fits $device tqfp44 fits fit1502 completed in 0.00 seconds 3.5 program and verify design in this step of the tutorial, you will program an atf1502be 44-pin tqfp device on the atmel atf15xx-dk3 cpld de velopment/programme r board through isp. then you will be able to verify the design by observing the four 7-segment displays and four leds on the cpld development/programmer board. you will need to follow the steps below to setup the atmisp software (v6.0 or latest version) in order to program the atf1502 be 44-pin tqfp on the atf15xx-dk3 cpld development/programmer board.
cpld design flow tutorial atf15xx-dk3 development kit user guide 3-11 3605b?pld?05/06 1. to create a new chain file, the atmisp software first needs to be launched either through the program chip button in the prochip designer window, the atmisp desktop icon or the start > programs > atmel-isp menu. note: if atmisp is launched through prochip designer, then the appropriate chain (.chn) file will be automati cally created by prochip de signer. therefore, steps 2 through 6 can be skipped. 2. to create a new chain file, select the new command under the file menu or click on the new shortcut button. 3. the first piece of information that the software asks for when creating a new chain is the number of devices in the jtag chain. therefore, enter ?1? and then click ok since you will be programming a single-device jtag chain. 4. next you will need to specify the properties of each jt ag device in the device properties window. first, you will need to se lect the target device type of the first device in the jtag chain. for this tutorial, please select atf1502be as the tar- get device type. 5. in the jtag instruction field, you can specify which jtag instruction to be exe- cuted on this device in the chain. please select program/verify to program and verify the atf1502be. 6. the next step is to specify the jedec file to be programmed into the target device in the jedec file field. click on the browse button, change the directory to ..\prochip\designs\vhdl and then select f02_44tqfp.jed as the (1) la u nch atmisp (2) create ne w chain file (3) enter the n u m b er of de v ices
cpld design flow tutorial 3-12 atf15xx-dk3 development kit user guide 3605b?pld?05/06 jedec file. click ok to close the jtag device properties window when all prop- erties are specified. the next step requires you to setup the atmel atf15xx-dk3 cpld development/pro- grammer board to program the atf1502be-7au44 through the cpld isp cable. 7. connect the db25 side of the atmel cpld isp mv cable (revision 6) to the pc?s parallel port and the 10-pin header side of the cable to the atmel atf15xx-dk3 cpld development board as shown figure 2-10 on page 2-14. 8. connect a 9v ac/dc power supply to the power connector (jpower) of the atmel atf15xx-dk3 cpld development/programmer board. 9. set the vccio selector jumper to the 1.8v(be) position for supplying the core voltage of the atf1502be device at 1.8v, then set the vccint selector jumper to the 1.8v(be) position for supplying the i/o pad voltage of the atf1502be device at 1.8v. note: make sure the iccint and iccio jumpers are in their default positions. these two jumpers are only removed when you are connecting them from two poles of the digital multimeter to perform current measurement. 10. set the jpclk jumper to gclk1 so that the output of the crystal oscillator will go to pin 37 (gclk1) of the atf1502be. for this design, you can also set the jpclk jumper to gclk2 so that the outp ut of the crystal o scillator will go to pin 40 (gclk2) of the atf1502be for selecting another global clock source. 11. set the jpjtag jumper isp cable position, which is toward the middle of the board. 12. connect the 44-pin tqfp socket adapter board onto the main develop- ment/programmer board. note: if a device in a different package type is to be programmed, then the appropri- ate socket adapter board must be used. 13. select which lpt port is being used for atmel cpld isp cable in the port setting field. lpt1 is the default port and it represents address 0x378. 14. select the isp download cable type in the cable type field. the default cable type is the ?atmel cpld-isp mv?, which represents the atmel cpld isp cable rev 6.0, but it can be changed to other cables that can be used for other devices. note: the ?atmel cpld-isp? cable type represents the atmel cpld isp cable rev 5.0 or older. 15. switch the power switch to the on position. (4) specify target de v ice type (5) specify jtag instr u ction (6) select jedec file
cpld design flow tutorial atf15xx-dk3 development kit user guide 3-13 3605b?pld?05/06 now both your software and hardware are setup for isp programming and you can exe- cute the program/verify instruction to program the atf1502be on the atmel atf15xx- dk3 cpld development/programmer board. 16. click on the run button in the atmisp main window to execute the jtag instruction to program the atf1502be on atf15xx-dk3 cpld develop- ment/programmer board. if you do not see above message after programming of the device, please review the troubleshooting guide and faqs from the atmel-isp software to debug the problem. after successfully programming the atf1502be with the f02_144tqfp.jed file, the first and fourth 7-segment led displays should show two rotating ?0? characters. in addition, with the setting of the led jumpers (jpl1, jpl2, jpl3, and jpl4) and push- button jumpers (jps8, jps7, jps6, and jps5 ), you can press sw8, sw7, sw6, or sw5 to light up leds 1-4. if the result is displayed correctly on t he atf15xx-dk3 cpld development/program- mer board, then you have successfully completed this tutorial. (13) select the lpt port n u m b er (14) select the ca b le type (16) click on the run bu tton
atf15xx-dk3 development kit user guide 4-1 3605b?pld?05/06 section 4 schematic diagrams and vhdl file
schematic diagrams and vhdl file 4-2 atf15xx-dk3 development kit user guide 3605b?pld?05/06 figure 4-1. atf15xx-d3 development/programmer board schematic diagram a b c d e f vc1 vc2 g a b c d e f g dot dsp1 a b c d e f vc1 vc2 g a b c d e f g dot dsp2 a b c d e f vc1 vc2 g a b c d e f g dot dsp3 a b c d e f vc1 vc2 g a b c d e f g dot dsp4 rdsp21 rdsp22 rdsp23 rdsp24 rdsp25 rdsp26 rdsp27 rdsp31 rdsp32 rdsp33 rdsp34 rdsp35 rdsp36 rdsp37 rdsp41 rdsp42 rdsp43 rdsp44 rdsp45 rdsp46 rdsp47 vccio bigatmel mark atmel d2 vin 3 adj 1 +vout 2 vr1 d1 1n4001 power switch c1 100uf c2 0.1uf r3 220 r2 500 gcl r 14 23 osc 2mhz gclk2 gclk1 1 2 3 jpgclk r18 1k r17 1k r15 1k r16 1k 9vdc 500ma jpower 9v dc center positive c3 0.1uf lm317 vccio vccio dot2 dot3 dot4 rdot2 rdot3 rdot4 d4a d4b d4c d4d d4e d4f d4g vcci o gnd gclk1 tck vccint gnd gclk2 tdo vcci o gnd gclr tdi vcci nt gnd goe tms 12 34 56 78 910 jtagin tck tdo tdi tms r12 4.7k r14 10k r13 4.7k r11 4.7k r1 1k r4 280 r5 320 r6 680 jpio18 1.8v(be) jpio25 2.5v(be) jpio33 3.3v(asv/be) jpio50 5v(as) c4 10uf vccin vin 3 adj 1 +vout 2 vr2 r8 220 r7 500 c5 0.1uf lm317 vccint r9 600 r10 680 jpint18 1.8v(be) jpint33 3.3v(asv) jpint50 5.0(as) c6 10uf c9 0.1uf c10 0.1uf vccio c12 0.1uf jp2 iccint jp1 iccio jpdsp1 jpled1 jpdsp2 jpled2 jpdsp3 jpled3 jpdsp4 jpled4 12 34 56 78 910 jtagout vccio vccio 1 2 3 jpjtag vccio jpgclr jpgoe goe c7 0.001uf c8 0.001uf c11 0.1uf vccint rdot1 rdsp11 rdsp12 rdsp13 rdsp14 rdsp15 rdsp16 rdsp17 dot1 led8 green led7 green led6 green led5 green jpl8 si p 2 jpl7 si p 2 jpl6 si p2 jpl5 si p2 rl8 220 rl7 220 rl6 220 rl5 220 d1a d1b d1c d1d d1e d1f d1g d2a d2b d2c d2d d2e d2f d2g d3a d3b d3c d3d d3e d3f d3g d1 a d1 b d1 c d1 d d1 e d1 f d1 g d2 a d2 b d2 c d2 d d2 e d2 f d2 g do t1 do t2 led1 led2 led3 led4 led5 led6 led7 led8 led1 led2 d4 a d4 b d4 c d4 d d4 e d4 f d4 g d3 a d3 b d3 c d3 d d3 e d3 f d3 g do t3 do t4 led3 led4 led5 led6 led7 led8 led4 green led3 green led2 green led1 green jpl4 si p2 jpl3 si p2 jpl2 si p2 jpl1 si p2 rl4 220 rl3 220 rl2 220 rl1 220 r19 1k sw1 vcci o c13 0.001uf jps1 si p2 r21 1k sw2 vcci o c15 0.001uf jps2 si p 2 r23 1k sw3 vcci o c17 0.001uf jps3 si p 2 r25 1k sw4 vcci o c19 0.001uf jps4 si p 2 r20 1k sw5 vcci o c14 0.001uf jps5 si p2 r22 1k sw6 vcci o c16 0.001uf jps6 si p 2 r24 1k sw7 vcci o c18 0.001uf jps7 si p 2 r26 1k sw8 vcci o c20 0.001uf jps8 si p 2 sw1 sw1 sw2 sw3 sw4 sw5 sw6 sw7 sw8 sw- gclr sw- gclr sw-goe sw-goe vcci n d3 r27 1k d4 r28 1k c21 0.1uf 12 34 56 78 910 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 jp3 12 34 56 78 910 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 jp4 gnd gnd gnd gnd gnd gnd gnd gnd r37 2.2k r38 2.2k r39 100 r29 4.7k sw5 r30 4.7k sw6 r32 4.7k sw2 r31 4.7k sw3 r33 4.7k sw7 r34 4.7k sw4 r35 4.7k sw8 r36 4.7k 1 2 3 4 jp jp power
schematic diagrams and vhdl file atf15xx-dk3 development kit user guide 4-3 3605b?pld?05/06 figure 4-2. 44-pin tqfp socket adapter board schematic diagram c1 0.1uf c2 0.1uf c3 0.1uf c4 0.1uf vcci nt tdi tms vcci o vccint vcci o tdo tck vccint gnd gnd gnd gnd vccio gnd gclk1 tck vccint gnd gclk2 tdo vccio gnd gclr tdi vccint gnd goe tms d1 a d1 b d1 c d1 d d1 e d1 f d1 g d2 a d2 b d2 c d2 d d2 e d2 f d2 g do t1 do t2 led1 led2 d4 a d4 b d4 c d4 d d4 e d4 f d4 g d3 a d3 b d3 c d3 d d3 e d3 f d3 g do t3 do t4 led3 led4 led5 led6 led7 led8 sw1 sw2 sw3 sw4 sw5 sw6 sw7 sw8 12 34 56 78 910 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 jp2 12 34 56 78 910 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 jp1 gnd gnd gnd gnd gnd gnd gnd gnd pin38 pin39 pin37 pin40 12 34 56 78 910 jl 12 34 56 78 910 jr 12 34 56 78 910 jb 12 34 56 78 910 jt atmel tqfp44 tdi 1 i/o 2 i/o 3 gnd 4 i/o 5 tms 7 i/o 8 vcc 9 i/o 10 i/o 11 i/o 6 i/o 12 i/o 13 i/o 14 i/o 15 gnd 16 vcc 17 i/o 18 i/o 19 i/o 20 i/o 21 i/o 22 i/o 23 gnd 24 i/o 25 tck 26 i/o 27 i/o 28 vcc 29 i/o 30 i/o 31 tdo 32 i/o 33 i/o 34 gclk3 35 gnd 36 gclk1 37 oe1 38 gclr 39 i/oe2/gclk2 40 vcc 41 i/o 42 i/o 43 i/o 44 u1 tqfp44 pin2 pin3 pin5 pin6 pin8 pin10 pin11 pin12 pin13 pin14 pin15 pin18 pin19 pin20 pin21 pin22 pin23 pin25 pin27 pin28 pin30 pin31 pin33 pin34 pin35 pin37 pin38 pin39 pin40 pin42 pin43 pin44 pin34 pin35 pin42 pin43 pin2 pin3 pin5 pin6 pin8 pin10 pin11 pin44 pin34 pin35 pin37 pin38 pin39 pin40 pin42 pin43 pin44 pin2 pin3 pin5 pin6 pin8 pin10 pin11 pin12 pin13 pin14 pin15 pin18 pin19 pin20 pin21 pin22 pin23 pin25 pin27 pin28 pin30 pin31 pin33 pin23 pin25 pin27 pin28 pin30 pin31 pin33 pin18 pin19 pin20 pin21 pin22 bigatmel mark atmel pin12 pin13 pin14 pin15 vcci o
schematic diagrams and vhdl file 4-4 atf15xx-dk3 development kit user guide 3605b?pld?05/06 figure 4-3. 44-pin plcc socket adapter board schematic diagram atmel plcc44 tdi 7 i/o 8 i/o 9 gnd 10 i/o 11 tms 13 i/o 14 vcc 15 i/o 16 i/o 17 i/o 12 i/o 18 i/o 19 i/o 20 i/o 21 gnd 22 vcc 23 i/o 24 i/o 25 i/o 26 i/o 27 i/o 28 i/o 29 gnd 30 i/o 31 tck 32 i/o 33 i/o 34 vcc 35 i/o 36 i/o 37 tdo 38 i/o 39 i/o 40 gclk3 41 gnd 42 gclk1 43 oe1 44 gclr 1 i/oe2/gclk2 2 vcc 3 i/o 4 i/o 5 i/o 6 u1 p lcc44 pin1 pin2 vccint pin4 pin5 pin6 tdi pin8 pin9 gnd pin11 pin12 tms pin14 vccio pin16 pin17 pin18 pin19 pin20 pin21 gnd vccint pin24 pin25 pin26 pin27 pin28 pin29 gnd pin31 tck pin33 pin34 vccio pin36 pin37 tdo pin39 pin40 pin41 gnd pin43 pin44 vccio gnd gclk1 tck vccint gnd gclk2 tdo vccio gnd gclr tdi vccint gnd goe tms d1 a d1 b d1 c d1 d d1 e d1 f d1 g d2 a d2 b d2 c d2 d d2 e d2 f d2 g do t1 do t2 led1 led2 d4 a d4 b d4 c d4 d d4 e d4 f d4 g d3 a d3 b d3 c d3 d d3 e d3 f d3 g do t3 do t4 led3 led4 led5 led6 led7 led8 sw1 sw2 sw3 sw4 sw5 sw6 sw7 sw8 12 34 56 78 910 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 jp2 12 34 56 78 910 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 jp1 gnd gnd gnd gnd gnd gnd gnd gnd pin44 pin1 pin43 pin2 pin40 pin41 pin4 pin5 pin8 pin9 pin11 pin12 pin14 pin16 pin17 pin6 pin29 pin31 pin33 pin34 pin36 pin37 pin39 pin24 pin25 pin26 pin27 pin28 pin18 pin19 pin20 pin21 12 34 56 78 910 jl 12 34 56 78 910 jb 12 34 56 78 910 jr 12 34 56 78 910 jt pin8 pin9 pin11 pin12 pin14 pin16 pin17 pin18 pin19 pin20 pin21 pin24 pin25 pin26 pin27 pin28 pin29 pin31 pin33 pin34 pin36 pin37 pin39 pin40 pin41 pin43 pin44 pin1 pin2 pin4 pin5 pin6 c1 0.1uf c2 0.1uf c3 0.1uf c4 0.1uf vccint vccio
schematic diagrams and vhdl file atf15xx-dk3 development kit user guide 4-5 3605b?pld?05/06 figure 4-4. 84-pin plcc socket adapter board schematic diagram input/gclrn 1 input/oe2/gclk2 2 vcc_int 3 i/o 4 i/o 5 i/o 6 gnd 7 i/o 8 i/o 9 i/o 10 i/o 11 i/o 12 vcc_io 13 i/o / tdi 14 i/o 15 i/o 16 i/o 17 i/o 18 gnd 19 i/o 20 i/o 21 i/o 22 i/o / tms 23 i/o 24 i/o 25 vcc_io 26 i/o 27 i/o 28 i/o 29 i/o 30 i/o 31 gnd 32 i/o 33 i/o 34 i/o 35 i/o 36 i/o 37 vcc_io 38 i/o 39 i/o 40 i/o 41 gnd 42 vcc_int 43 i/o 44 i/o 45 i/o 46 gnd 47 i/o 48 i/o 49 i/o 50 i/o 51 i/o 52 vcc_io 53 i/o 54 i/o 55 i/o 56 i/o 57 i/o 58 gnd 59 i/o 60 i/o 61 i/o / tck 62 i/o 63 i/o 64 i/o 65 vcc_i o 66 i/o 67 i/o 68 i/o 69 i/o 70 i/o / tdo 71 gnd 72 i/o 73 i/o 74 i/o 75 i/o 76 i/o 77 vcc_io 78 i/o 79 i/o 80 i/o 81 gnd 82 input/gclk1 83 input/oe1 84 atmel atf1508as-15jc84 u1 pin1 gnd gnd gnd gnd gnd gnd gnd gnd vccint vccio vccio vccio vccio vccio vccio vccint pin84 pin83 pin2 tdi tms tdo tck c1 0.1uf c2 0.1uf c3 0.1uf c5 0.1uf vccio smallatmel mark1 pin4 pin5 pin6 pin8 pin9 pin10 pin11 pin12 pin15 pin16 pin17 pin18 pin20 pin21 pin22 pin24 pin25 pin27 pin28 pin29 pin30 pin31 pin33 pin34 pin35 pin36 pin37 pin39 pin40 pin41 pin44 pin45 pin46 pin48 pin49 pin50 pin51 pin52 pin54 pin55 pin56 pin57 pin58 pin60 pin61 pin63 pin64 pin65 pin67 pin68 pin69 pin70 pin73 pin74 pin75 pin76 pin77 pin79 pin80 pin81 pin1 pin84 pin83 pin2 pin4 pin5 pin6 pin8 pin9 pin10 pin11 pin75 pin76 pin77 pin79 pin80 pin81 12 34 56 78 910 11 12 13 14 15 16 17 18 jp3 jptop 12 34 56 78 910 11 12 13 14 15 16 17 18 jp4 jpleft pin12 pin15 pin16 pin17 pin18 pin20 pin21 pin22 pin24 pin25 pin27 pin28 pin29 pin30 pin31 12 34 56 78 910 11 12 13 14 15 16 17 18 jp6 jpbottom pin33 pin34 pin35 pin36 pin37 pin39 pin40 pin41 pin44 pin45 pin46 pin48 pin49 pin50 pin51 pin52 vccio gnd gclk1 tck vccint gnd gclk2 tdo vccio gnd gclr tdi vccint gnd goe tms d1 a d1 b d1 c d1 d d1 e d1 f d1 g d2 a d2 b d2 c d2 d d2 e d2 f d2 g do t1 do t2 led1 led2 d4 a d4 b d4 c d4 d d4 e d4 f d4 g d3 a d3 b d3 c d3 d d3 e d3 f d3 g do t3 do t4 led3 led4 led5 led6 led7 led8 sw1 sw2 sw3 sw4 sw5 sw6 sw7 sw8 12 34 56 78 910 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 jp2 idc40 12 34 56 78 910 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 jp1 idc40 gnd gnd gnd gnd gnd gnd gnd gnd 12 34 56 78 910 11 12 13 14 15 16 17 18 jp5 jpbottom pin1 pin2 pin83 pin84 pin5 pin6 pin8 pin9 pin12 pin15 pin18 pin16 pin21 pin17 pin24 pin25 pin22 pin29 pin28 pin37 pin39 pin40 pin41 pin44 pin45 pin46 pin48 pin49 pin50 pin51 pin52 pin58 pin60 pin61 pin63 pin64 pin65 pin67 pin68 pin69 pin70 pin73 pin74 pin54 pin55 pin56 pin57 pin58 pin60 pin61 pin63 pin64 pin65 pin67 pin68 pin69 pin70 pin73 pin74 c7 0.1uf c8 0.1uf c4 0.1uf c6 0.1uf vcci nt pin11 pin10 pin4 pin80 pin79 pin75 pin77 pin57 pin55 pin48 pin41 pin50 pin45 pin56 pin54 pin51 pin49 pin44 pin27 pin76
schematic diagrams and vhdl file 4-6 atf15xx-dk3 development kit user guide 3605b?pld?05/06 figure 4-5. 100-pin tqfp socket adapter board schematic diagram i/on 1 i/on 2 vccio 3 tdi 4 i/on 5 i/o 6 i/on 7 i/o 8 i/o 9 i/o 10 gnd 11 i/o 12 i/o 13 i/o 14 tms 15 i/o 16 i/o 17 vccio 18 i/o 19 i/o 20 i/o 21 i/on 22 i/o 23 i/on 24 i/o 25 gnd 26 i/on 27 i/on 28 i/o 29 i/o 30 i/o 31 i/o 32 i/o 33 vccio 34 i/o 35 i/o 36 i/o 37 gnd 38 vccint 39 i/o 40 i/o 41 i/o 42 gnd 43 i/o 44 i/o 45 i/o 46 i/o 47 i/o 48 i/on 49 i/on 50 vccio 51 i/o 52 i/on 53 i/o 54 i/on 55 i/o 56 i/o 57 i/o 58 gnd 59 i/o 60 i/o 61 tck 62 i/o 63 i/o 64 i/o 65 vccio 66 i/o 67 i/o 68 i/o 69 i/on 70 i/o 71 i/on 72 tdo 73 gnd 74 i/o 75 atmel tqfp100 i/o 76 i/on 77 i/o 78 i/on 79 i/o 80 i/o 81 vccio 82 i/o 83 i/o 84 i/o gclk3 85 gnd 86 gclk1 87 oe1 88 gclr 89 gclk2 90 vccint 91 i/o 92 i/o 93 i/o 94 gnd 95 i/o 96 i/o 97 i/o 98 i/o 99 i/o 100 u1 tqfp100 pin1 pin2 vccio tdi pin5 pin6 pin7 pin8 pin9 pin10 gnd pin12 pin13 pin14 tms pin16 pin17 vccio pin19 pin20 pin21 pin22 pin23 pin24 pin25 gnd pin27 pin28 pin29 pin30 pin31 pin32 pin33 vccio pin35 pin36 pin37 gnd vccint pin40 pin41 pin42 gnd pin44 pin45 pin46 pin47 pin48 pin49 pin50 vccio pin52 pin53 pin54 pin55 pin56 pin57 pin58 gnd pin60 pin61 tck pin63 pin64 pin65 vccio pin67 pin68 pin69 pin70 pin71 pin72 tdo gnd pin75 pin76 pin77 pin78 pin79 pin80 pin81 vccio pin83 pin84 pin85 gnd pin87 pin88 pin89 pin90 vccint pin92 pin93 pin94 gnd pin96 pin97 pin98 pin99 pin100 c1 0.1uf c2 0.1uf c3 0.1uf c4 0.1uf vccio c5 0.1uf c6 0.1uf vccint vccio gnd gclk1 tck vccint gnd gclk2 tdo vcci o gnd gclr tdi vccint gnd goe tms d1 a d1 b d1 c d1 d d1 e d1 f d1 g d2 a d2 b d2 c d2 d d2 e d2 f d2 g do t1 do t2 led1 led2 d4 a d4 b d4 c d4 d d4 e d4 f d4 g d3 a d3 b d3 c d3 d d3 e d3 f d3 g do t3 do t4 led3 led4 led5 led6 led7 led8 sw1 sw2 sw3 sw4 sw5 sw6 sw7 sw8 12 34 56 78 910 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 jp2 12 34 56 78 910 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 jp1 gnd gnd gnd gnd gnd gnd gnd gnd pin88 pin89 pin87 pin90 pin76 pin79 pin80 pin81 pin84 pin92 pin93 pin94 pin96 pin97 pin98 pin83 pin64 pin65 pin67 pin68 pin69 pin71 pin75 pin57 pin58 pin60 pin61 pin63 pin37 pin44 pin46 pin48 pin9 pin10 pin14 pin17 pin20 pin13 pin19 pin16 pin8 pin100 pin6 pin99 pin56 pin47 pin52 pin45 pin41 pin40 pin36 pin54 12 34 56 78 910 11 12 13 14 15 16 17 18 19 20 21 22 jl header 11x2 12 34 56 78 910 11 12 13 14 15 16 17 18 19 20 21 22 jt header 11x2 12 34 56 78 910 11 12 13 14 15 16 17 18 19 20 21 22 jr header 11x2 12 34 56 78 910 11 12 13 14 15 16 17 18 19 20 21 22 jb header 11x2 pin1 pin2 pin5 pin6 pin7 pin8 pin9 pin10 pin12 pin13 pin14 pin16 pin17 pin19 pin20 pin21 pin22 pin23 pin24 pin25 pin27 pin28 pin29 pin30 pin31 pin32 pin33 pin35 pin36 pin37 pin40 pin41 pin42 pin44 pin45 pin46 pin47 pin48 pin49 pin50 pin52 pin53 pin54 pin55 pin56 pin57 pin58 pin60 pin61 pin63 pin64 pin65 pin67 pin68 pin69 pin70 pin71 pin72 pin75 pin76 pin77 pin78 pin79 pin80 pin81 pin83 pin84 pin85 pin87 pin88 pin89 pin90 pin92 pin93 pin94 pin96 pin97 pin98 pin99 pin100 c7 0.1uf c8 0.1uf c9 0.1uf c10 0.1uf
schematic diagrams and vhdl file atf15xx-dk3 development kit user guide 4-7 3605b?pld?05/06 ------------------------------------------------------------------------------------ -- library declaration ------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all; ------------------------------------------------------------------------------------ -- entity declaration ------------------------------------------------------------------------------------ entity f02_44tqfp is port ( gclk1 : in std_logic; -- 2mhz clock (positive edge) gclk2 : in std_logic; -- 2mhz clock (negative edge) gclr : in std_logic; -- register reset sw : in std_logic_vector(8 downto 5);-- switches dsp1 : inout std_logic_vector(5 downto 0);-- 7-segment display leds (f to a) dsp4 : inout std_logic_vector(5 downto 0);-- 7-segment display leds (f to a) led : out std_logic_vector(4 downto 1)-- leds ); ------------------------------------------------------------------------------------ -- pin assignment ------------------------------------------------------------------------------------ attribute pinnum: string; attribute pinnum of gclk1: signal is"37"; attribute pinnum of gclk2: signal is"40"; attribute pinnum of gclr: signal is"39"; attribute pinnum of sw: signal is"12,13,14,15"; attribute pinnum of dsp1: signal is"23,18,21,30,33,27"; attribute pinnum of dsp4: signal is"42,35,43,6,10,3"; attribute pinnum of led: signal is"19,22,25,28"; end entity f02_44tqfp; ------------------------------------------------------------------------------------ -- architecture ------------------------------------------------------------------------------------ architecture logic of f02_44tqfp is ------------------------------------------------------------------------------------ -- internal signal declaration ------------------------------------------------------------------------------------ signal cnt1: unsigned(15 downto 0); signal iclk : std_logic;
schematic diagrams and vhdl file 4-8 atf15xx-dk3 development kit user guide 3605b?pld?05/06 begin iclk <= gclk1 or gclk2; ------------------------------------------------------------------------------------ -- frequency divider ------------------------------------------------------------------------------------ freq_div1 : process (iclk,gclr) begin if (gclr = '0') then cnt1 <= (others => '0'); elsif (rising_edge(iclk)) then cnt1 <= cnt1 + 1; end if; end process; ------------------------------------------------------------------------------------ -- led control ------------------------------------------------------------------------------------ led_ctl : process (sw) begin led(1) <= sw(5); led(2) <= sw(6); led(3) <= sw(7); led(4) <= sw(8); end process; ------------------------------------------------------------------------------------ -- dsp control ------------------------------------------------------------------------------------ dsp_ctl : process (cnt1(15), gclr) begin if (gclr = '0') then dsp1 <= (others => '0'); dsp4 <= (others => '0'); elsif rising_edge(cnt1(15)) then dsp1(0) <= not dsp1(5); dsp1(1) <= dsp1(0); dsp1(2) <= dsp1(1); dsp1(3) <= dsp1(2); dsp1(4) <= dsp1(3); dsp1(5) <= dsp1(4); dsp4(0) <= not dsp4(5);
schematic diagrams and vhdl file atf15xx-dk3 development kit user guide 4-9 3605b?pld?05/06 dsp4(1) <= dsp4(0); dsp4(2) <= dsp4(1); dsp4(3) <= dsp4(2); dsp4(4) <= dsp4(3); dsp4(5) <= dsp4(4); end if; end process; end architecture logic;
3605b?pld?05/06 ? 2006 atmel corporation . all rights reserved. atmel ? , logo and combinations thereof, everywhere you are ? , logic doubling ? , prochip designer ? , and others, are registered trademarks or trademarks of atmel corporation or its subsidiaries. modelsim ? is a registered trademark of mentor graphics corporation. pentium ? is a registered trademark of intel corporation. windows xp ? , windows ? , and windows nt ? are regis- tered trademarks of microsoft corporation. altera ? is a registered trademark of altera corporation. other terms and product names may be trademarks of others. disclaimer: the information in this document is provided in connection with atmel products. no license, express or implied, by estoppel or otherwise, to any intellectual property right is granted by this document or in connection with the sale of atmel products. except as set forth in atmel?s terms and condi- tions of sale located on atmel? s web site, atmel assumes no liability whatsoever and disclaims any express, implied or statutor y warranty relating to its products including, but not limited to , the implied warranty of merchantability, fitness for a particu lar purpose, or non-infringement. in no event shall atmel be liable for any direct, indirect, conseque ntial, punitive, special or i nciden- tal damages (including, without limitation, damages for loss of profits, business interruption, or loss of information) arising out of the use or inability to use this document, even if at mel has been advised of the possibility of such damages. atmel makes no representations or warranties with respect to the accuracy or co mpleteness of the contents of this document and reserves the rig ht to make changes to specifications and product descriptions at any time without notice. atmel does not make any commitment to update the information contained her ein. unless specifically provided otherwise, atmel products are not suitable for, and shall not be used in, automotive applications. atmel?s products are not int ended, authorized, or warranted for use as components in applications in tended to support or sustain life. atmel corporation atmel operations 2325 orchard parkway san jose, ca 95131, usa tel: 1(408) 441-0311 fax: 1(408) 487-2600 regional headquarters europe atmel sarl route des arsenaux 41 case postale 80 ch-1705 fribourg switzerland tel: (41) 26-426-5555 fax: (41) 26-426-5500 asia room 1219 chinachem golden plaza 77 mody road tsimshatsui east kowloon hong kong tel: (852) 2721-9778 fax: (852) 2722-1369 japan 9f, tonetsu shinkawa bldg. 1-24-8 shinkawa chuo-ku, tokyo 104-0033 japan tel: (81) 3-3523-3551 fax: (81) 3-3523-7581 memory 2325 orchard parkway san jose, ca 95131, usa tel: 1(408) 441-0311 fax: 1(408) 436-4314 microcontrollers 2325 orchard parkway san jose, ca 95131, usa tel: 1(408) 441-0311 fax: 1(408) 436-4314 la chantrerie bp 70602 44306 nantes cedex 3, france tel: (33) 2-40-18-18-18 fax: (33) 2-40-18-19-60 asic/assp/smart cards zone industrielle 13106 rousset cedex, france tel: (33) 4-42-53-60-00 fax: (33) 4-42-53-60-01 1150 east cheyenne mtn. blvd. colorado springs, co 80906, usa tel: 1(719) 576-3300 fax: 1(719) 540-1759 scottish enterprise technology park maxwell building east kilbride g75 0qr, scotland tel: (44) 1355-803-000 fax: (44) 1355-242-743 rf/automotive theresienstrasse 2 postfach 3535 74025 heilbronn, germany tel: (49) 71-31-67-0 fax: (49) 71-31-67-2340 1150 east cheyenne mtn. blvd. colorado springs, co 80906, usa tel: 1(719) 576-3300 fax: 1(719) 540-1759 biometrics/imagin g/hi-rel mpu/ high speed converters/rf datacom avenue de rochepleine bp 123 38521 saint-egreve cedex, france tel: (33) 4-76-58-30-00 fax: (33) 4-76-58-34-80 literature requests www.atmel.com/literature


▲Up To Search▲   

 
Price & Availability of ATF15XXDK3-SAA44

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X